×
UVM实战-卷I
读者评分
4.5分

UVM实战-卷I

1星价 ¥57.7 (7.3折)
2星价¥57.7 定价¥79.0
商品评论(2条)
wu2***(三星用户)

书的内容还可以

书写的比较详细,看了能学会

2015-10-14 16:44:34
0 0
day***(三星用户)

uvm实战-卷i

本书以一个完整的示例开篇,使得读者一开始就 对如何使用uvm搭建验证平台有总体的概念。本书提 供大量示例代码,这些代码都经过实际的运行。全书 内容力求简单易懂,尽量将uvm中的概念与读者已有 的概念联系起来。在第11章还专门介绍了ovm与uvm的 区别,为那些从ovm迁移到uvm的用户提供很大帮助。

2015-05-23 15:47:47
0 0
图文详情
  • ISBN:9787111470199
  • 装帧:一般胶版纸
  • 册数:暂无
  • 重量:暂无
  • 开本:16开
  • 页数:368
  • 出版时间:2014-07-01
  • 条形码:9787111470199 ; 978-7-111-47019-9

本书特色

  张强编著的这本《uvm实战(卷ⅰ)》主要介绍uvm 的使用。全书详尽介绍了uvm的factory机制、 sequence机制、phase机制、objection机制及寄存 器模型等的使用。此外,本书还试图引导读者思考 uvm为什么要引入这些机制,从而使读者知其然,更 知其所以然。      本书以一个完整的示例开篇,使得读者一开始就 对如何使用uvm搭建验证平台有总体的概念。本书提 供大量示例代码,这些代码都经过实际的运行。全书 内容力求简单易懂,尽量将uvm中的概念与读者已有 的概念联系起来。在第11章还专门介绍了ovm与uvm的 区别,为那些从ovm迁移到uvm的用户提供很大帮助。      本书主要面向uvm的初学者及想对uvm追根寻底的 中级用户。针对没有面向对象编程基础的用户,本书 在附录中简要介绍了面向对象的概念及 systemverilog中区别于其他编程语言的一些特殊语 法。

内容简介

   uvm的学习资料非常匮乏,能够参考的资料,一是《ovm cookbook》(这本英文资料从来没有在国内出版过),另一个是ovm/uvm官方的英文参考文档。它们所采用的行文方式更是硬生生地不断引入某些概念,并附加一定的代码来阐述这些概念。在这些前后引入的概念之间。几乎没有什么逻辑关系。有时候看完了一整章都不知道该章介绍的东西有什么用处。*关键的是。整本书看完了也不知道如何搭建一个验证平台。这本《uvm实战(卷ⅰ)》的作者张强围绕如何搭建一个基于uvm的验证平台,用丰富的案例和深入浅出的语言,引导读者深入理解uvm验证方法学的使用方法和设计理念。

目录

第1章 与uvm的**次接触  1.1 uvm是什么    1.1.1 验证在现代ic流程中的位置    1.1.2 验证的语言    1.1.3 何谓方法学    1.1.4 为什么是uvm    1.1.5 uvm的发展史  1.2 学了uvm之后能做什么    1.2.1 验证工程师    1.2.2 设计工程师第2章 一个简单的uvm验证平台  2.1 验证平台的组成  2.2 只有driver的验证平台   *2.2.1 *简单的验证平台   *2.2.2 加入factory机制   *2.2.3 加入objection机制   *2.2.4 加入virtual interface  2.3 为验证平台加入各个组件   *2.3.1 加入transaction   *2.3.2 加入env   *2.3.3 加入monitor   *2.3.4 封装成agent   *2.3.5 加入reference model   *2.3.6 加入scoreboard   *2.3.7 加入field_automation机制  2.4 uvm的终极大作:sequence   *2.4.1 在验证平台中加入sequencer   *2.4.2 sequence机制   *2.4.3 default_sequence 的使用  2.5 建造测试用例   *2.5.1 加入base_test   *2.5.2 uvm中测试用例的启动第3章 uvm基础  3.1 uvm_component与uvm_object    3.1.1 uvm_component派生自uvm_object    3.1.2 常用的派生自uvm_object的类    3.1.3 常用的派生自uvm_component的类    3.1.4 与uvm_object相关的宏    3.1.5 与uvm_component相关的宏    3.1.6 uvm_component的限制    3.1.7 uvm_component与uvm_object的二元结构  3.2 uvm的树形结构  ……第4章 uvm中的tlm1.0通信第5章 uvm验证平台的运行第6章 uvm中的sequence第7章 uvm中的寄存器模型第8章 uvm中的factory机制第9章 uvm中代码的可重用性第10章 uvm高级应用第11章 ovm到uvm的迁移附录a systemverilog使用简介附录b dut代码清单附录c uvm命令行参数汇总附录d uvm常用宏汇总
展开全部

作者简介

张强,资深验证工程师,毕业于浙江大学超大规模集成电路研究所,研究方向为模拟及数模混合集成电路,主要从事模拟电源管理芯片、运算放大器及应用于高性能CPU的SRAM的研究与设计,持有两个与SRAM相关的专利。毕业后一直从事数字集成电路的设计和验证工作,曾经参与过高速智能列车数据采集及通信系统、高性能智能投影仪芯片的研究与开发。目前主要从事手机等消费电子低功耗图形显示芯片的研究。2011年年底,在熟读UVM源代码的情况下,在网上发布了《UVM1.1应用指南及源代码解析》,深受读者肯定。

预估到手价 ×

预估到手价是按参与促销活动、以最优惠的购买方案计算出的价格(不含优惠券部分),仅供参考,未必等同于实际到手价。

确定
快速
导航